1150 cmd.exe /c arduino-cli lib search U8g2 1151 cmd.exe /c arduino-cli lib install U8g2 1152 cmd.exe /c arduino-cli compile --fqbn esp32:esp32:esp32wrover gms981_base 1153 cmd.exe /c arduino-cli board list 1154 cmd.exe /c arduino-cli upload -p COM7 --fqbn esp32:esp32:esp32wrover gms981_base * ************************************************************************ 1101 cmd.exe /c arduino-cli sketch new eblink 1102 cd eblink/ 1104 vim eblink.ino void setup() { pinMode(2, OUTPUT); } void loop() { digitalWrite(2, HIGH); delay(1000); digitalWrite(2, LOW); delay(1000); } 1105 cd .. 1106 cmd.exe /c arduino-cli board list 1110 cmd.exe /c arduino-cli board listall | grep nodemcu 1111 cmd.exe /c arduino-cli compile --fqbn esp8266:esp8266:nodemcu eblink (now plug board in) 1112 cmd.exe /c arduino-cli board list 1113 cmd.exe /c arduino-cli upload -p COM6 --fqbn esp8266:esp8266:nodemcu eblink * ******************************************************************* Here are the command to add the needed stuff 427 vim /mnt/c/Users/gooyw/AppData/Local/Arduino15/arduino-cli.yaml 428 cmd.exe /c arduino-cli core update-index 429 cmd.exe /c arduino-cli core search esp8266 430 cmd.exe /c arduino-cli core search esp32 432 cmd.exe /c arduino-cli core install esp8266:esp8266 433 cmd.exe /c arduino-cli core install esp32:esp32 434 cmd.exe /c arduino-cli help lib 435 cmd.exe /c arduino-cli lib update-index 436 cmd.exe /c arduino-cli lib search U8g2 437 cmd.exe /c arduino-cli lib install U8g2 441 cd /mnt/c/Users/gooyw/AppData/Local/ 443 zip -r $DD/first.zip Arduino15 * ******************************************************************* 1. Go to github.com/arduino/arduino-cli Scroll down to Quickstart and click the link Install (this takes you to https://arduino.github.io/arduino-cli/0.23/installation/) Scroll down to the Download section for prebuilt binaries Click the Windows 64-bit Open a Debian terminal cd $BB mkdir arduino cd arduino mkdir bin cd bin unzip ......./arduino-cli_0.23.0_Windows_64bit.zip Go to windows environment and add C:\BB\arduino\bin to your path (System Variables) 2. Open a new Debian terminal cd $DD mkdir afirst cd afirst cmd.exe /c arduino-cli config init [--overwrite] (you don't need the --overwrite option the first time) (this creates the arduino-cli.yaml file which is very important) (this will output the location of the .yaml file) (add the following to the yaml file) cmd.exe /c arduino-cli board list (this will download some stuff that has trouble if you have virus software) (you can zip the directory C:\Users\Yourname\AppData\Local\Arduino15 and use it as a base) (when you move it to another computer, make sure to change the path names in arduino-cli.yaml) 3. Add ESP repositories cp /mnt/c/Users/YOURNAME/AppData/Local/Arduino15/arduino-cli.yaml \ /mnt/c/Users/YOURNAME/AppData/Local/Arduino15/arduino-cli.yaml.orig vim /mnt/c/Users/YOURNAME/AppData/Local/Arduino15/arduino-cli.yaml diff /mnt/c/Users/YOURNAME/AppData/Local/Arduino15/arduino-cli.yaml \ /mnt/c/Users/YOURNAME/AppData/Local/Arduino15/arduino-cli.yaml.orig < additional_urls: [https://dl.espressif.com/dl/package_esp32_index.json, < https://arduino.esp8266.com/stable/package_esp8266com_index.json] --- > additional_urls: [] * ********************************************************************** Download Windows zip file for arduino-cli (note : it works in C:\Users\gooyw\AppData\Local\Arduino15\arduino-cli.yaml) cmd.exe /c arduino-cli config init --overwrite vim /mnt/c/Users/gooyw/AppData/Local/Arduino15/arduino-cli.yaml * 888888888888888888 board_manager: additional_urls: [https://dl.espressif.com/dl/package_esp32_index.json, https://arduino.esp8266.com/stable/package_esp8266com_index.json] * 888888888888888888 cmd.exe /c arduino-cli core update-index cmd.exe /c arduino-cli core search esp32 cmd.exe /c arduino-cli board listall cd afirst cmd.exe /c arduino-cli sketch new MyFirstSketch cmd.exe /c arduino-cli compile --fqbn esp32:esp32:esp32wrover MyFirstSketch * ****************************************************** Digilent Board Files : https://github.com/Digilent/digilent-xdc Vivado Documentation : https://docs.xilinx.com/ Search for UG937 Open Document Click tab on left (PDF) --> Downloadable PDF BASYS3 board is : xc7a35ticpg236-1L (236 I/O) (106 IOBs) (20800 LUT) (41600 FF) (50 RAM) (90 DSP) * Here is my XDC file differences * 888888888888888888888888888888888888888888888888 diff Basys-3-Master.xdc local.xdc 7,8c7,17 < #set_property -dict { PACKAGE_PIN W5 IOSTANDARD LVCMOS33 } [get_ports clk] < #create_clock -add -name sys_clk_pin -period 10.00 -waveform {0 5} [get_ports clk] --- > set_property -dict { PACKAGE_PIN W5 IOSTANDARD LVCMOS33 } [get_ports CLK] > create_clock -add -name CLK -period 20.00 -waveform {0 5} [get_ports CLK] > > set_false_path -from [get_ports RST] > > set_output_delay -clock CLK 0.0 [get_ports {GREG[27]}] > set_output_delay -clock CLK 0.0 [get_ports {GREG[26]}] > set_output_delay -clock CLK 0.0 [get_ports {GREG[25]}] > set_output_delay -clock CLK 0.0 [get_ports {GREG[24]}] > set_output_delay -clock CLK 0.0 [get_ports {GREG[23]}] > 31,35c40,44 < #set_property -dict { PACKAGE_PIN U16 IOSTANDARD LVCMOS33 } [get_ports {led[0]}] < #set_property -dict { PACKAGE_PIN E19 IOSTANDARD LVCMOS33 } [get_ports {led[1]}] < #set_property -dict { PACKAGE_PIN U19 IOSTANDARD LVCMOS33 } [get_ports {led[2]}] < #set_property -dict { PACKAGE_PIN V19 IOSTANDARD LVCMOS33 } [get_ports {led[3]}] < #set_property -dict { PACKAGE_PIN W18 IOSTANDARD LVCMOS33 } [get_ports {led[4]}] --- > set_property -dict { PACKAGE_PIN U16 IOSTANDARD LVCMOS33 } [get_ports {GREG[23]}] > set_property -dict { PACKAGE_PIN E19 IOSTANDARD LVCMOS33 } [get_ports {GREG[24]}] > set_property -dict { PACKAGE_PIN U19 IOSTANDARD LVCMOS33 } [get_ports {GREG[25]}] > set_property -dict { PACKAGE_PIN V19 IOSTANDARD LVCMOS33 } [get_ports {GREG[26]}] > set_property -dict { PACKAGE_PIN W18 IOSTANDARD LVCMOS33 } [get_ports {GREG[27]}] 67c76 < #set_property -dict { PACKAGE_PIN U18 IOSTANDARD LVCMOS33 } [get_ports btnC] --- > set_property -dict { PACKAGE_PIN U18 IOSTANDARD LVCMOS33 } [get_ports RST] * 888888888888888888888888888888888888888888888888 If I had inputs, I would need lines like : # IO delays set_input_delay -clock sysClk 0.0 [get_ports or1200_clmode] set_input_delay -clock sysClk 0.0 [get_ports or1200_pic_ints] set_input_delay -clock sysClk 3.0 [get_ports DataIn_pad_0_i[*]] set_input_delay -clock sysClk 3.0 [get_ports LineState_pad_0_i[*]] set_input_delay -clock sysClk 3.0 [get_ports RxActive_pad_0_i] set_input_delay -clock sysClk 3.0 [get_ports RxError_pad_0_i] set_input_delay -clock sysClk 3.0 [get_ports RxValid_pad_0_i] set_input_delay -clock sysClk 3.0 [get_ports TxReady_pad_0_i] set_input_delay -clock sysClk 3.0 [get_ports VStatus_pad_0_i[*]] set_input_delay -clock sysClk 3.0 [get_ports usb_vbus_pad_0_i] set_input_delay -clock sysClk 3.0 [get_ports DataIn_pad_1_i[*]] set_input_delay -clock sysClk 3.0 [get_ports LineState_pad_1_i[*]] set_input_delay -clock sysClk 3.0 [get_ports RxActive_pad_1_i] set_input_delay -clock sysClk 3.0 [get_ports RxError_pad_1_i] set_input_delay -clock sysClk 3.0 [get_ports RxValid_pad_1_i] set_input_delay -clock sysClk 3.0 [get_ports TxReady_pad_1_i] set_input_delay -clock sysClk 3.0 [get_ports VStatus_pad_1_i[*]] set_input_delay -clock sysClk 3.0 [get_ports usb_vbus_pad_1_i] set_input_delay -clock sysClk 0.0 [get_ports reset] set_output_delay -clock sysClk 0.0 [get_ports or1200_pm_out[*]] set_output_delay -clock sysClk 0.0 [get_ports TermSel_pad_0_o] set_output_delay -clock sysClk 0.0 [get_ports TxValid_pad_0_o] set_output_delay -clock sysClk 0.0 [get_ports VControl_Load_pad_0_o] set_output_delay -clock sysClk 0.0 [get_ports XcvSelect_pad_0_o] set_output_delay -clock sysClk 0.0 [get_ports TermSel_pad_1_o] set_output_delay -clock sysClk 0.0 [get_ports TxValid_pad_1_o] set_output_delay -clock sysClk 0.0 [get_ports VControl_Load_pad_1_o] set_output_delay -clock sysClk 0.0 [get_ports XcvSelect_pad_1_o] set_output_delay -clock sysClk 0.0 [get_ports OpMode_pad_0_o[*]] set_output_delay -clock sysClk 0.0 [get_ports OpMode_pad_1_o[*]] set_output_delay -clock sysClk 0.0 [get_ports SuspendM_pad_0_o] set_output_delay -clock sysClk 0.0 [get_ports SuspendM_pad_1_o] set_output_delay -clock sysClk 0.0 [get_ports VControl_pad_0_o[*]] set_output_delay -clock sysClk 0.0 [get_ports VControl_pad_1_o[*]] set_output_delay -clock sysClk 0.0 [get_ports phy_rst_pad_0_o] set_output_delay -clock sysClk 0.0 [get_ports phy_rst_pad_1_o] set_output_delay -clock sysClk 0.0 [get_ports DataOut_pad_0_o[*]] set_output_delay -clock sysClk 0.0 [get_ports DataOut_pad_1_o[*]] # Multi-cycle paths for ALU set_multicycle_path -through [get_pins cpuEngine/or1200_cpu/or1200_alu/*] 2 set_multicycle_path -hold -through [get_pins cpuEngine/or1200_cpu/or1200_alu/*] 1